-----BEGIN PGP SIGNED MESSAGE----- Hash: SHA512 Format: 3.0 (quilt) Source: irssi Binary: irssi, irssi-plugin-otr, irssi-dev Architecture: any Version: 1.2.2-1ubuntu1 Maintainer: Ubuntu Developers Homepage: https://irssi.org/ Standards-Version: 4.3.0 Vcs-Browser: https://salsa.debian.org/rhonda/irssi Vcs-Git: https://salsa.debian.org/rhonda/irssi.git Build-Depends: debhelper-compat (= 12), libglib2.0-dev, perl (>= 5.8.1), libperl-dev (>= 5.8.1), libncurses5-dev, libssl-dev, openssl, libotr5-dev Package-List: irssi deb net optional arch=any irssi-dev deb net optional arch=any irssi-plugin-otr deb net optional arch=any Checksums-Sha1: 9a85b72bfbe327eecf223a03433a9979b50a039a 1140844 irssi_1.2.2.orig.tar.xz 1e8265e101e25f94725be03b1e033f4216270829 195 irssi_1.2.2.orig.tar.xz.asc d062a5794264da554c682b1c6f41ec5c2adaedc2 25180 irssi_1.2.2-1ubuntu1.debian.tar.xz Checksums-Sha256: 6727060c918568ba2ff4295ad736128dba0b995d7b20491bca11f593bd857578 1140844 irssi_1.2.2.orig.tar.xz aff7b926afc283a2821ba4abec9d8f5d01ad2122d744dc2aec81af6ff10b67ea 195 irssi_1.2.2.orig.tar.xz.asc a08fce351b8c900d56f2aaca4cdc2332e4e62888cb0d7c45ea5a8362066bbaba 25180 irssi_1.2.2-1ubuntu1.debian.tar.xz Files: 8547f89e014e23e1bbbb665bcf7e2f70 1140844 irssi_1.2.2.orig.tar.xz 41a4c77472524ac88d27b11cff99cfe6 195 irssi_1.2.2.orig.tar.xz.asc d23b29d0ab3c3b6a54a96039c0a6f781 25180 irssi_1.2.2-1ubuntu1.debian.tar.xz Original-Maintainer: Rhonda D'Vine -----BEGIN PGP SIGNATURE----- iQJHBAEBCgAxFiEET7WIqEwt3nmnTHeHb6RY3R2wP3EFAl4wG24THGp1bGlhbmtA dWJ1bnR1LmNvbQAKCRBvpFjdHbA/cWxMD/wIR31wiBw067JWpgUdREWMBBRk4snx 4kCaAdM4PylwhyvmJHYvNCk+7WqrhS6h57BmV1UloOeu0NOY5Vo+cBAGLKmbITSk aayp8Wb5FQsctvTYpLI3l+5kt2URsM467oT6NbMYRfuOBWf1NLCMyKlovvS7BvRS 54KnmpF/axnYCTVWw/42w2lpkNzwqYvhcDHZXm0OBKcY6QKWr7xYxAYDfgYNd2Bv ljw+l0nNPuJXenQLDstwaOxnSRHrYsEjAqu2M3MWZskGo/vfwM1Q05hfel1Aiv9F Mx9wBCjqID1UvxejMsyT4LoWfpJ+lovCHiJPj/HakbqBEn8H7Zu7SxtvhulO/w6A S/gMcD5cNyPCCeqMW4WyVDFj3bw+FSN6indproPjQakU+j40HPxlOWqgdgHURuI2 EQ/L/ZNUVcMJX1LNj7uEGoqU/Un50l6ZVAX/H3tMV24Pf26sFSlfB0dE86Er4hxf +LnZ0PA3Kr9ZMu2Ucul7HBOZc5he5PqGTXdMvzgKZ5CkrwLB0nqevT4ah5LkCKbM kKQLlOVsOX1rDFrCxR9fndt52A0TkAXp4FazY8uiy5SWz1wxZwLQuaGjzxbLjrwO QgOh+YJOXPQCp2TvvAC8yqCzt6vYUYaCNDK4MkN7mdJabs85c8XleQCipMuFMp/W 2UV2OJZm4/XMxQ== =vQvp -----END PGP SIGNATURE-----